Type of identifier does not agree with its usage as "boolean" type – VHDL in Quartus

Advertisements I’m developing a simple buffering system in VHDL. I get the error I mentioned in the title for "empty" whenever I try to compile. I don’t know why it won’t let me invert a std_logic type. I’ve also been getting errors about the comparisons. For some reason, it doesn’t recognize the ">" and "<"… Read More Type of identifier does not agree with its usage as "boolean" type – VHDL in Quartus