Can we disable Always block using disable statement?

module xyz; always begin : b1 $display("I am executing"); // statement 1 disable b1; $display("I am still executing"); // statement 2 end endmodule I am unable to understand how disable statement actually behaves in the above code. I was expecting that the statement 1 will execute only once and then the always block (b1) will… Read More Can we disable Always block using disable statement?

Type of identifier does not agree with its usage as "boolean" type – VHDL in Quartus

I’m developing a simple buffering system in VHDL. I get the error I mentioned in the title for "empty" whenever I try to compile. I don’t know why it won’t let me invert a std_logic type. I’ve also been getting errors about the comparisons. For some reason, it doesn’t recognize the ">" and "<" operators… Read More Type of identifier does not agree with its usage as "boolean" type – VHDL in Quartus